EDA原理及其应用
EDA原理及其应用封面图

EDA原理及其应用

何宾, 编著

出版社:清华大学出版社

年代:2009

定价:23.0

书籍简介:

本书介绍了基于EDA技术的数字系统设计的方法、理论和应用。

书籍目录:

第1章 计算机设计基础理论.

1.1 信息与信息处理

1.1.1 信息与媒体

1.1.2 信息处理与数据

1.2 限位记数

1.2.1 限位记数的基本概念

1.2.2 补码制

1.2.3 补码和反码的关系

1.2.4 补码制加法的溢出

1.2.5 变减法为加法

1.3 任意进制数

1.3.1 任意进制数概述

1.3.2 任意进制数互化

1.4 二进制数

1.4.1 二进制数的加减法

1.4.2 超长二进制数

1.4.3 二进制数的基数表示法

1.5 信息编码

1.5.1 图形文字数值化

1.5.2 颜色的数值化

1.5.3 模拟信息的数值化

1.5.4 ASCII编码

1.5.5 汉字库与机内码

1.5.6 外码

1.6 布尔代数

1.6.1 布尔代数的概念

1.6.2 布尔代数的基本运算规则

1.6.3 异或

1.7 逻辑电路

1.7.1 二极管和三极管

1.7.2 基本逻辑门电路

1.8 依据表达式做电路

1.8.1 组合电路

1.8.2 逻辑电路的画法

1.9 真值表与逻辑函数

1.9.1 逻辑真值表

1.9.2 由真值表求逻辑函数

1.9.3 变量无关

1.9.4 加法运算的逻辑表示

1.9.5 逻辑表达式的化简

习题一

第2章 逻辑计算机

2.1 计算机逻辑结构

2.1.1 计算机的基本逻辑结构

2.1.2 指令执行的设想

2.2 指令执行要件与执行过程

2.2.1 程序计数器

2.2.2 指令和数据存储器

2.2.3 指令分析设备

2.2.4 指令执行设备

2.2.5 指令执行控制器

2.2.6 必要的附属设备

2.2.7 指令执行一般过程

2.3 部件关联与信息传输

2.3.1 并行传输与串行传输

2.3.2 同步传输和异步传输

2.3.3 同步总线连接结构

2.4 计算机的分类

2.4.1 通用与专用计算机

2.4.2 计算机的规模

2.4.3 单处理器计算机与多处理器计算机

2.4.4 动态计算机

2.4.5 计算机网络

习题二

第3章 EDA设计工具

3.1 建立工程项目

3.1.1 启动QuanusII6.0

3.1.2 建立项目

3.2 设计文件

3.2.1 原理图设计

3.2.2 VerilogHDL语言设计

3.3 原理图转换和程序编辑

3.4 编译与器件封装

3.4.1 局部工程编译

3.4.2 封装成器件放人用户库

3.5 电路仿真

3.5.1 建立仿真文件

3.5.2 仿真设置工具

3.5.3 功能仿真

3.5.4 时序仿真

3.6 工程下载验证

习题三

第4章 常用基本器件设计

4.1 寄存器设计

4.1.1 寄存器原理图设计

4.1.2 寄存器工作原理

4.1.3 寄存器的语言描述

4.1.4 寄存器仿真

4.2 加减法运算器设计

4.2.1 加减法单元原理图设计..

4.2.2 加减单元的编码

4.2.3 多位加减单元的连接

4.2.4 多位加减单元连接编程

4.2.5 加减法运算器原理图设计

4.2.6 加减法运算器程序描述

4.2.7 加减法运算器仿真

4.3 同步计数器设计

I.3.1 设备同步工作

4.3.2 程序计数器

4.3.3 通用计数器

4.4 标志线的设计

4.4.1 累加器的标志线设计

4.4.2 数据监测标志设计

4.5 移位运算器的设计

4.5.1 原理图设计

4.5.2 移位运算器程序描述

4.5.3 移位运算器仿真

4.6 乘法运算器的设计

4.6.1 乘法手算形式分析

4.6.2 乘法阵列原理图设计

4.6.3 乘法阵列的编码

4.6.4 有符号数乘法运算器

4.7 除法运算器设计

4.7.1 除法手算的形式分析

4.7.2 减法运算器设计

4.7.3 除法阵列设计

4.7.4 除法行单元电路

4.7.5 正数除法电路设计

4.7.6 有符号除法运算器设计分析

4.7.7 对称区间的除法运算器

4.7.8 实用除法运算器要件

4.7.9 实用除法运算器整体设计

4.8 译码器的设计

4.8.1 译码器电路设计

4.8.2 译码器的程序描述

4.8.3 选择与通断控制电路

4.9 节拍器的设计

4.9.1 电路设计

4.9.2 程序描述

4.9.3 工作原理

4.1 0存储器的设计

4.1 0.1 地址译码器设计

4.1 0.2 存储单元设计

4.1 0.3 256存储单元存储器

4.1 0.4 大容量存储器设计

习题四

第5章 控制矩阵设计方法

5.1 控制矩阵设计的基本方法

5.1.1 在数据库中建表

5.1.2 生成VerilogHDL程序描述

5.2 模块描述生成器

5.2.1 模块描述生成器的安装

5.2.2 模块描述生成器的使用

5.2.3 模块描述生成器的设计程序

习题五

第6章 计算机设计实例

6.1 计算机整体设计

6.1.1 计算机组成结构

6.1.2 计算机功能设计目标

6.1.3 确定指令系统

6.2 器件设计的描述

6.2.1 译码器

6.2.2 节拍器

6.2.3 寄存器与指针

6.2.4 alu设计

6.2.5 存储器的设计

6.2.6 存储器部件

6.3 总线结构设计

6.3.1 连接存储器和运算器

6.3.2 累加器.计数器连人总线

6.3.3 操作数寄存器.数据寄存器.输出寄存器连人总线

6.4 指令系统设计

6.4.1 指令全程分析

6.4.2 计算机指令全程表

6.5 控制器设计

6.5.1 控制矩阵设计

6.5.2 组织控制器

6.6 输入接口设备设计

6.6.1 缓冲区接口电路

6.6.2 输入接口解决的问题

6.6.3 操作系统的设计

6.6.4 操作系统的发展

6.7 计算机总体设计

6.7.1 顶层结构

6.7.2 输入程序数据控制

6.8 程序运行仿真

6.8.1 仿真程序的输入方法

6.8.2 观察仿真波形

6.9 工程下载与检测

6.9.1 时钟设计

6.9.2 顶层文件与连接驱动程序

6.9.3 检验程序执行

习题六

参考文献

目录

第1章 EDA设计导论.

1.1 EDA技术综述

1.1.1 EDA技术发展历史

1.1.2 EDA技术含义

1.1.3 EDA技术主要内容

1.2 PLD设计方法学

1.2.1 PLD设计概论

1.2.2 PLD设计流程

1.2.3 SOPC设计流程

1.3 HDL硬件描述语言

1.3.1 HDL硬件描述语言概念

1.3.2 HDL语言特点和比较

1.3.3 HDL语言最新发展

习题

第2章 可编程逻辑器件设计方法

2.1 可编程逻辑器件基础

2.1.1 可编程逻辑器件概述

2.1.2 可编程逻辑器件的发展历史

2.2 PLD芯片制造工艺

2.3 PLD芯片结构

2.3.1 CPLD原理及结构

2.3.2 FPGA原理及结构

2.3.3 CPLD和FPGA比较

2.3.4 PLD选择原则

2.4 Xilinx公司芯片简介

2.4.1 XilinxCPLD芯片介绍

2.4.2 XilinxFPGA芯片介绍

2.4.3 XilinxPROM芯片介绍

习题

第3章 VHDL语言基础

3.1 VHDL程序结构

3.1.1 VHDL程序结构概述

3.1.2 VHDL程序实体

3.1.3 VHDL结构体

3.2 VHDL语言描述风格

3.2.1 结构体行为描述

3.2.2 结构体数据流描述

3.2.3 结构体结构化描述

3.3 设计资源共享

3.3.1 库

3.3.2 包集合

3.3.3 子程序和函数

3.3.4 元件配置

3.4 VHDL语言的文字规则

3.4.1 数字型文字

3.4.2 字符型文字

3.4.3 标识符

3.4.4 下标名及下标段名

3.5 VHDL语言数据对象.类型和属性

3.5.1 VHDL中的数据对象

3.5.2 VHDL中的数据类型

3.5.3 VHDL中的预定义属性

3.6 VHDL语言的操作符

3.7 VHDL的顺序描述语句

3.7.1 对象赋值语句

3.7.2 转向控制语句

3.7.3 断言语句

3.8 VHDL的并发描述语句

3.8.1 进程描述语句

3.8.2 并行信号赋值语句

3.8.3 条件信号赋值语句

3.8.4 选择信号赋值语句

3.8.5 并行过程调用语句

3.8.6 块语句

3.9 VHDL元件声明及例化语句

3.9.1 层次化设计

3.9.2 元件声明

3.9.3 元件例化

3.9.4 生成语句

3.1 0VHDL的文件操作

习题

第4章 数字逻辑单元设计

4.1 组合逻辑电路设计

4.1.1 基本逻辑门电路设计

4.1.2 编码器和译码器设计

4.1.3 数据选择器设计

4.1.4 数字比较器设计

4.1.5 数据运算单元设计

4.1.6 总线缓冲器设计

4.2 时序逻辑电路设计

4.2.1 时钟和复位设计

4.2.2 触发器设计

4.2.3 锁存器设计

4.2.4 计数器设计

4.2.5 移位寄存器设计

4.3 存储器设计

4.3.1 ROM设计

4.3.2 RAM设计

4.3.3 FIFO设计

4.4 有限自动状态机设计

4.4.1 有限状态机原理

4.4.2 有限状态机分类

4.4.3 有限状态机设计..

习题

第5章 VHDL高级设计技术

5.1 VHDL代码风格

5.1.1 逻辑复制和复用技术

5.1.2 并行和流水线技术

5.1.3 同步和异步单元处理技术

5.1.4 逻辑处理技术

5.1.5 模块划分的设计原则

5.2 IP核设计技术

5.2.1 IP核分类

5.2.2 IP核优化

5.2.3 IP核生成

5.2.4 IP核应用

习题

第6章 基于HDL的设计输入

6.1 软件环境

6.2 综合工具介绍

6.3 工程建立

6.4 设计描述

6.5 添加设计和检查

6.6 创建基于HDL的模块

6.7 IP核产生和例化

6.7.1 IP核的生成

6.7.2 IP核的例化

习题

第7章 基于原理图的设计输入

7.1 工程建立

7.2 设计描述

7.3 创建原理图模块

7.3.1 原理图编辑器操作

7.3.2 定义模块符号

7.3.3 创建模块符号

7.4 创建状态图模块

7.4.1 添加状态

7.4.2 添加迁移

7.4.3 添加行为

7.4.4 添加复位条件

7.4.5 设计输出和添加

7.5 设计完成

习题

第8章 设计综合和行为仿真

8.1 设计综合

8.1.1 行为综合描述

8.1.2 基于XST的综合概述

8.1.3 约束及设计综合的实现

8.1.4 RTL符号的查看

8.2 行为仿真的实现

8.2.1 生成测试向量

8.2.2 基于ModelSim行为仿真实现

8.2.3 基于ISE行为仿真实现

习题

第9章 设计实现和时序仿真

9.1 实现过程概述及约束

9.1.1 实现过程概述

9.1.2 建立约束文件

9.2 设置实现属性参数

9.3 创建分区

9.4 创建时序约束

9.5 设计翻译

9.6 设计约束

9.6.1 时序约束

9.6.2 管脚和面积约束

9.7 设计映射及时序分析

9.7.1 设计映射

9.7.2 使用时序分析评估块延迟

9.8 布局布线验证

9.8.1 用FPGAEditor验证布局布线

9.8.2 评估布局后时序

9.8.3 改变分区HDL

9.9 时序仿真实现

9.9.1 时序仿真概述

9.9.2 使用ModelSim进行时序仿真

9.9.3 使用ISE仿真器进行时序仿真

习题

第10章 设计下载和调试

10.1 PLD的配置

10.1.1 配置单元

10.1.2 配置端口

10.1.3 配置电路

10.2 创建配置数据

10.2.1 配置属性

10.2.2 创建PROM文件

10.3 下载实现过程

10.3.1 下载环境

10.3.2 下载实现

10.3.3 JTAG诊断

10.3.4 建立SVF文件

10.3.5 其他配置模式

10.4 PLD调试

10.4.1 多路复用技术的应用

10.4.2 虚拟逻辑分析工具

习题

第11章 数字时钟设计及实现

11.1 数字时钟的功能要求和结构

11.1.1 数字时钟的功能要求

11.1.2 数字时钟的整体结构

11.2 模块设计

11.2.1 数字时钟控制信号

11.2.2 控制模块结构

11.3 设计实现

11.3.1 设计输入

11.3.2 设计约束

习题

第12章 通用异步接收发送器设计及实现

12.1 UART设计原理

12.1.1 UART原理和设计描述

12.1.2 接收模块设计

12.1.3 发送模块设计

12.1.4 UART的VHDL设计代码

12.2 UART设计验证

12.2.1 验证原理

12.2.2 验证代码

习题

第13章 数字电压表设计及实现

13.1 数字电压表的功能要求和结构

13.1.1 数字电压表的功能要求

13.1.2 数字电压表的整体结构

13.2 模块设计

13.2.1 数字电压表控制信号

13.2.2 ADC转换原理

13.2.3 控制模块结构

13.3 设计实现

13.3.1 ADC控制模块原理及实现

13.3.2 显示控制模块原理及实现

13.3.3 程序包的设计

13.3.4 顶层模块设计

13.3.5 设计约束文件

习题

参考文献

内容摘要:

本书系统而又全面地介绍了基于EDA技术的数字系统设计的方法、理论和应用。全书共分13章,内容包括EDA设计导论、可编程逻辑器件设计方法、VHDL语言基础、数字逻辑单元设计、VHDL高级设计技术、基于HDL的设计输入、基于原理图的设计输入、设计综合和行为仿真、设计实现和时序仿真、设计下载和调试、数字时钟设计及实现、通用异步接收发送器、数字电压表设计及实现。本书参考了大量最新的设计资料,内容新颖,理论与应用并重,充分反映了基于EDA技术的数字系统设计的最新方法和技术,可以帮助读者尽快掌握EDA设计方法和技术。本书可作为相关专业开设EDA原理及应用课程的本科教学参考书,亦可作为从事EDA数字系统设计的相关教师、研究生和科技人员自学参考书,也可作为Xilinx公司的培训教材。

编辑推荐:

本书特色:本书系统地介绍了基于PLD的EDA设计原理和方法,内容主要括:EDA设计概论、可编程逻辑器件设计方法、硬件描述语言VHDL、逻辑单元设计、高性能代码设计、IP核设计技术、EDA设计流程,*后给出通用异步接收发送器和数字滤波器的完整设计实例,以帮助学学者全面掌握EDA设计方法和应用技巧。书中结合内容给出大量设计示例程序和习题。本书可作为高校信息类专业EDA相关课程的教材,也可作为相关培训课程教学用书,及科研工作者和应用开发人员的的参考用书。

书籍规格:

书籍详细信息
书名EDA原理及其应用站内查询相似图书
9787302200215
如需购买下载《EDA原理及其应用》pdf扫描版电子书或查询更多相关信息,请直接复制isbn,搜索即可全网搜索该ISBN
出版地北京出版单位清华大学出版社
版次1版印次1
定价(元)23.0语种简体中文
尺寸26装帧平装
页数 279 印数 5000

书籍信息归属:

EDA原理及其应用是清华大学出版社于2009.05出版的中图分类号为 TN702 的主题关于 电子电路-电路设计:计算机辅助设计-高等学校-教材 的书籍。