EDA技术与VHDL程序开发教程
EDA技术与VHDL程序开发教程封面图

EDA技术与VHDL程序开发教程

王千文, 编著

出版社:清华大学出版社

年代:2014

定价:30.0

书籍简介:

本书共10章,内容包括EDA工具的发展历程和设计理念,可编程逻辑器件的原理和分类,VHDL的程序结构和基本语法,开发套件Quartus II的使用方法,顺序语句和并行语句的使用,VHDL中的属性描述,VHDL的层次化设计方法,VHDL在通信和DSP系统中的应用等,通过大量数字电路和数字系统的案例,给读者演示了VHDL在数字系统设计中充当的角色以及利用VHDL语言进行数字系统设计的基本步骤和具体方法。

书籍目录:

第1章 EDA开发技术基础

1.1 EDA技术基础

1.1.1 EDA技术简介

1.1.2 EDA技术的发展

1.2 EDA技术涉及的内容

1.2.1 可编程逻辑器件

1.2.2 硬件描述语言

1.2.3 集成开发环境

1.2.4 实验开发系统

1.3 常用的硬件描述语言

1.3.1 AHDL语言

1.3.2 VerilogHDL语言

1.3.3 VHDL语言

1.4 EDA的集成开发环境

1.4.1 QuartusⅡ集成开发环境

1.4.2 ISE和ModelSim集成开发环境

1.4.3 ispLEVER集成开发环境

1.5 使用EDA技术进行数字系统开发

1.5.1 数字系统的设计模型

1.5.2 数字系统的设计方法

1.5.3 使用VHDL语言进行数字系统的开发流程

1.6 课后习题

1.6.1 填空题

1.6.2 简答题

第2章 Quartus Ⅱ集成开发环境

2.1 Quartusll应用基础

2.1.1 使用图形用户界面

2.1.2 使用EDA工具设计界面

2.1.3 使用命令行可执杼界面

2.1.4 Quartus Ⅱ集成开发环境的应用流程

2.1.5 Quartus Ⅱ的常用窗口

2.2 在Quartus Ⅱ集成开发环境中进行VHDL语言开发

2.2.1 编辑设计文件

2.2.2 创建工程

2.2.3 设置工程属性

2.2.4 编译项目

2.2.5 仿真

2.2.6 观察RTL电路

2.2.7 其他

2.3 课后习题

2.3.1 填空题

2.3.2 简答题

2.3.3 实验题

第3章 VHDL语言基础

3.1 VHDL语言程序的结构

3.2 VHDL语言的实体

3.2.1 实体说明

3.2.2 实体的类属说明

3.2.3 实体的端口说明

3.3 VHDL语言的结构体

3.3.1 结构体的说明语句

3.3.2 结构体的信号定义

3.3.3 结构体的功能描述语句

3.4 VHDL语言的描述风格

3.4.1 行为描述

3.4.2 数据流描述

3.4.3 结构描述

3.4.4 混合描述

3.5 课后习题

3.5.1 填空题

3.5.2 简答题

3.5.3 实验题

第4章 VHDL语言的基本要素

4.1 VHDL语言文字的命名规范

4.1.1 数值型文字

4.1.2 字符串型文字

4.2 VHDL语言的标识符

4.2.1 短标识符

4.2.2 扩展标识符

4.3 VHDL语言的下标和下标段名

4.3.1 下标

4.3.2 下标段名

4.4 VHDL语言的注释

4.5 VHDL语言的数据对象

4.5.1 常量

4.5.2 变量

4.5.3 信号

4.5.4 文件

4.6 VHDL语言的数据类型

4.6.1 VHDL语言的数据类型按性质分类

4.6.2 VHDL语言的数据类型按数据来源分类

4.6.3 VHDL语言的数据类型简介

4.6.4 VHDL语言的数据类型转换

4.7 VHDL语言的操作符

4.7.1 VHDL语言的操作符种类和优先级

4.7.2 VHDL语言的算术操作符

4.7.3 VHDL语言的关系操作符

4.7.4 VHDL语言的逻辑操作符

4.7.5 VHDL话言的重载操作符

4.8 课后习题

4.8.1 填空题

4.8.2 简答题

4.8.3 实验题

第5章 VHDL语言的顺序描述语句

5.1 赋值语句

5.2 代入语句

5.3 赋值语句和代入语句进阶

5.3.1 赋值语句和代入语句的区别

5.3.2 赋值语句和代入语句的赋值对象

5.4 流程控制语句

5.4.1 IF语句

5.4.2 CASE语句

5.4.3 LOOP语句

5.4.4 NEXT语句

5.4.5 EXIT语句

5.5 WAIT语句

5.5.1 WAIT语句的无限等待

5.5.2 WAITON语句

5.5.3 WAITUNTIL语句

5.5.4.WAITFOR语句

5.5.5 多条件WAIT语句

5.6 空操作语句

5.7 报告语句

5.8 断言语句

5.9 课后习题

5.9.1 填空题

5.9.2 简答题

5.9.3 实验题

第6章 VHDL语言的并行描述语句

第7章 使用VHDL语言设计组合逻辑电路

第8章 使用VHDL语言设计时序电路

第9章 使用VHDL语言设计存储器

第10章 使用VHDL语言设计状态机

第11章 VHDL语言的层次化设计

第12章 VHDL语言的仿真、综合和优化

第13章 VHDL语言的属性描述语句

第14章 VHDL语言的数字系统设计应用实例

内容摘要:

EDA(Electronic Design Automation)工程是现代电子信息工程领域中发展迅速的一门新技术。它是以计算机为工作平台,以EDA软件工具为开发环境,以硬件描述语言为主要表达方式,以大规模可编程器件为设计载体,以ASIC、SoC、FPGA芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。本书介绍了EDA技术和硬件描述语言VHDL的基础知识,通过对工程实例的系统分析、程序设计和仿真,深入细致地讨论了其在数字系统设计中的广泛应用,内容包括EDA和VHDL语言基础、集成开发环境Quartus II、VHDL程序结构、VHDL语言要素、VHDL顺序语句和并行语句、基本电路的VHDL实现方法,还介绍了VHDL语言的综合、优化和层次设计并且给出了多个综合应用实例。本书由浅入深地介绍了使用VHDL语言进行EDA设计的整个流程,并且给出了大量的应用实例,适合具有一定数字电路基础、希望学习集成电路设计的读者,可作为高等院校电子类相关专业的教材,也可作为VHDL语言设计的参考手册。

编辑推荐:

读者对象
具有一定数字电路基础、希望学习集成电路设计的读者,高等院校电子类及相关专业的学生。
《EDA技术与VHDL程序开发教程/高等学校计算机应用规划教材》特色:
《EDA技术与VHDL程序开发教程/高等学校计算机应用规划教材》实例典型,内容丰富,有很强的针对性,不仅详细介绍了VHDL语言的基本用法,作为高层次综合语言的众多特点,还介绍了数字电路中最常见的组合逻辑电路、时序电路设计、存储器设计和状态机设计方法,并且给出了多个典型代表实例。

书籍规格:

书籍详细信息
书名EDA技术与VHDL程序开发教程站内查询相似图书
9787302357971
如需购买下载《EDA技术与VHDL程序开发教程》pdf扫描版电子书或查询更多相关信息,请直接复制isbn,搜索即可全网搜索该ISBN
出版地北京出版单位清华大学出版社
版次1版印次1
定价(元)30.0语种简体中文
尺寸26 × 19装帧平装
页数印数 5000

书籍信息归属:

EDA技术与VHDL程序开发教程是清华大学出版社于2014.出版的中图分类号为 TP312 ,TN702 的主题关于 电子电路-电路设计-计算机辅助设计-教材 ,VHDL语言-程序设计-教材 的书籍。