数字芯核电路版权保护技术与应用
暂无封面,等待上传

数字芯核电路版权保护技术与应用

梁伟, 著

出版社:东南大学出版社

年代:2015

定价:32.0

书籍简介:

数字芯核水印技术通常也称为IP水印技术,它是一门应用芯核电路载体的冗余信息来隐藏秘密信息的新技术。目前在数字芯核水印系统设计中,合法IP模块的复用设计可以保证IP设计更高的研发效率和减少上市周期。本书重点关注数字IP设计中的IP知识产权保护问题。IP核可以通过多种形式进行描述。从硬件描述语言中的行为描述到实际布局,防止IP被不正当使用问题与IP提供者和开发集成软件的计算机辅助设计公司都密切相关。芯核水印技术是最直接的解决方法,它能从电子产品中有效的提取出电路的原始版权信息。数字芯核水印技术的研究将在电子信息技术领域中具有着非常广泛的应用前景。本书不仅能为该领域内的科研工作者在芯核安全保护理论研究方面做出参考,而且为推进集成电路芯核版权保护技术的应用将会起到较好的积极作用。

作者介绍:

梁伟,男,1978年12月生,博士,副教授,硕士研究生导师。中国计算机学会高级会员,湖南科技大学计算机网络与嵌入式研究所副所长,近年来…直从事集成电路知识产权保护、信息隐藏以及集成电路设计等理论与工程应用方面的研究工作,主持和参与完成包括国家自然科学基金项目、973子项、863项目、湖南省自然科学基金项目等研究项目12项。获得国家授权发明专利5项与软件著作登记权5项,在国内外发表学术论文50余篇,其中SCI/EI收录的期刊论文15篇。

书籍目录:

第一篇 芯核水印技术基础

1绪论

1.1 研究意义

1.2 研究背景

1.3 研究现状

1.3.1 FPGA芯核水印技术

1.3.2 FSM芯核水印技术

1.3.3 可测试芯核水印技术

1.4 本书主要工作及结构

2 IP水印技术概述

2.1 数字IP设计基础

2.1.1 IP的定义和分类

2.2.2 FPGA概述

2.2.3 FPGA内部结构

2.2.4 FPGA的基本开发流程

2.2 数字IP水印概念

2.2.1 数字芯核水印特点

2.2.2 面临的困难和挑战

2.3 数字芯核版权保护技术

2.3.1 芯片标签加密技术

2.3.2 PUF物理版权保护技术

2.4 数字芯核水印检测技术

2.4.1 数字芯核水印检测需求分析

2.4.2 芯核水印安全检测分析

2.4.3 水印性能的评估

2.5 工程设计流程与开发环境

2.5.1 ISE的设计流程

2.5.2 Modelsim的功能仿真

2.5.3 FPGA综合工具Synplify

2.6 本章小结

第二篇 芯核水印关键技术

3基于混沌映射技术的芯核水印方案

3.1 引言

3.2 混沌理论数学模型

3.3 混沌映射的芯核水印化过程

3.3.1 LUT水印嵌入原理

3.3.2 混沌芯核水印嵌入

3.3.3 混沌芯核水印提取

3.4 性能分析及仿真

3.4.1 性能分析

3.4.2 实验仿真

3.5 实验结果比较

3.5.1 资源开销性能

3.5.2 物理布局性能

3.6 本章小结

4 基于FSM特征的芯核水印方案

4.1 引言

4.2 问题描述和定义

4.3 水印嵌入原理

4.4 FSM芯核水印实现过程

……

第三篇 IP水印检测与认证方案

第四篇 数字IP水印实例设计与实现

结束语

参考文献

内容摘要:

数字芯核水印技术通常也称为lP水印技术,它是一门运用芯核电路载体的冗余信息来隐藏秘密信息的新技术。目前在数字芯核水印系统没计中,合法lP模块的复用设计可以保证lP设计更高的研发效率和减少上市周期。《数字芯核电路版权保护技术与应用》重点关注数字IP设计中的lP知识产权保护问题。芯核水印技术是最直接的解决方法,它能从电子产品中有效地提取出电路的原始版权信息。数字芯核水印技术的研究在电子信息技术领域中具有若非常广泛的应用前景。《数字芯核电路版权保护技术与应用》不仅能为该领域内的科研工作者在芯核安全保护理论研究方面做出参考,而且为推进集成电路芯核版权保护技术的应用起到积极作用。

书籍规格:

书籍详细信息
书名数字芯核电路版权保护技术与应用站内查询相似图书
9787564155858
《数字芯核电路版权保护技术与应用》pdf扫描版电子书已有网友提供资源下载链接,请点击下方按钮查看
出版地南京出版单位东南大学出版社
版次1版印次1
定价(元)32.0语种简体中文
尺寸21 × 14装帧平装
页数印数
全网搜索试读资源

书籍信息归属:

数字芯核电路版权保护技术与应用是东南大学出版社于2015.4出版的中图分类号为 D913.04 的主题关于 计算机网络-安全技术-版权-保护-研究 的书籍。