EDA技术与应用
EDA技术与应用封面图

EDA技术与应用

江国强, 编著

出版社:电子工业出版社

年代:2007

定价:25.0

书籍简介:

EDA是当今世界上最先进的电子电路设计技术,其重要作用逐步被我国的产业界、科技界和教育界认可。本书共7章,包括EDA技术的基本知识、EDA工具软件的使用方法、VHDL、Verilog HDL、常用EDA工具软件、可编程逻辑器件和EDA技术的应用。

书籍目录:

第1章EDA技术概述

1.1EDA技术及发展

1.2EDA设计流程

1.2.1设计准备

1.2.2设计输入

1.2.3设计处理

1.2.4设计校验

1.2.5器件编程

1.2.6器件测试和设计验证

1.3硬件描述语言

1.3.1VHDL

1.3.2VerilogHDL

1.3.3AHDL

1.4可编程逻辑器件

1.5常用EDA工具

1.5.1设计输入编辑器

1.5.2仿真器

1.5.3HDL综合器

1.5.4适配器(布局布线器)

1.5.5下载器(编程器)

本章小结

思考题和习题

第2章EDA工具软件的使用方法

2.1QuartusII软件的安装

2.2QuartusII软件的主界面

2.3QuartusII的图形编辑输入法

2.3.1编辑输入图形设计文件

2.3.2编译设计文件

2.3.3仿真设计文件

2.3.4编程下载设计文件

2.4MAX+PLUSII设计项目的转换

2.5QuartusII宏功能模块的使用方法

2.5.1设计原理

2.5.2编辑输入顶层设计文件

2.5.3仿真顶层设计文件

2.5.4硬件验证与测试

2.5.5图形文件的转换

2.6嵌入式锁相环宏功能模块的使用方法

2.7设计优化

2.7.1面积与速度的优化

2.7.2时序约束与选项设置

2.7.3Fitter设置

2.8QuartusII的RTL阅读器

本章小结

思考题和习题

第3章VHDL

3.1VHDL设计实体的基本结构

3.1.1库、程序包

3.1.2实体

3.1.3结构体

3.1.4配置

3.1.5基本逻辑器件的VHDL描述

3.2VHDL语言要素

3.2.1VHDL文字规则

3.2.2VHDL数据对象

3.2.3VHDL数据类型

3.2.4VHDL的预定义数据类型

3.2.5IEEE预定义的标准逻辑位和矢量

3.2.6用户自定义数据类型方式

3.2.7VHDL操作符

3.2.8VHDL的属性

3.3VHDL的顺序语句

3.3.1赋值语句

3.3.2流程控制语句

3.3.3WAIT语句

3.3.4ASSERT(断言)语句

3.3.5NULL(空操作)语句

3.4并行语句

3.4.1PROCESS(进程)语句

3.4.2块语句

3.4.3并行信号赋值语句

3.4.4子程序和并行过程调用语句

3.4.5元件例化(COMPONENT)语句

3.4.6生成语句

3.5VHDL的库和程序包

3.5.1VHDL库

3.5.2VHDL程序包

3.6VHDL设计流程

3.6.1编辑VHDL源程序

3.6.2设计8位计数显示译码电路顶层文件

3.6.3编译顶层设计文件

3.6.4仿真顶层设计文件

3.6.5下载顶层设计文件

本章小结

思考题和习题

第4章VerilogHDL

4.1VerilogHDL设计模块的基本结构

4.1.1模块端口定义

4.1.2模块内容

4.2VerilogHDL的词法

4.2.1空白符和注释

4.2.2常数

4.2.3字符串

4.2.4标识符

4.2.5关键词

4.2.6操作符

4.2.7VerilogHDL数据对象

4.2.8系统任务和系统函数

4.2.9编译指令

4.3VerilogHDL的语句

4.3.1赋值语句

4.3.2条件语句

4.3.3循环语句

4.3.4结构声明语句

4.3.5语句的顺序执行与并行执行

4.4不同抽象级别的VerilogHDL模型

4.4.1VerilogHDL门级描述

4.4.2VetilogHDL的行为级描述

4.4.3用结构描述实现电路系统设计

4.5VerilogHDL设计流程

4.5.1编辑VerilogHDL源程序

4.5.2设计BCD数加法器电路顶层文件

4.5.3编译顶层设计文件

4.5.4仿真顶层设计文件

4.5.5下载顶层设计文件

本章小结

思考题和习题

第5章常用EDA工具软件

5.1MAX+PLUSII

5.1.1MAX+PLUSII的安装

5.1.2MAX+PLUSII的原理图输入设计法

5.1.3原理图输入法的层次化设计

5.1.4MAX+PLUSII老式宏函数的应用

5.1.5MAX+PLUSII强函数的应用

5.2ModelSim

5.2.1ModelSim的安装

5.2.2ModelSim的使用方法

5.2.3ModelSim与MAX+PLUSII及QuartusII的接口

5.2.4ModelSim交互命令方式仿真

5.2.5ModelSim批处理工作方式

5.3基于Matlab/DSPBuilder的DSP模块设计

5.3.1设计原理

5.3.2建立Matlab设计模型

5.3.3Matlab模型仿真

5.3.4SignalCompiler使用方法

5.3.5使用ModelSim进行RTL级仿真

5.3.6使用QuartusII实现时序仿真

5.3.7硬件实现与测试

5.3.8DSPBuilder的层次设计

本章小结

思考题和习题

第6章可编程逻辑器件

6.1PLD的基本原理

6.1.1PLD的分类

6.1.2阵列型PLD

6.1.3现场可编程门阵列FPGA

6.1.4基于查找表(LuT)的结构

6.2PLD的设计技术

6.2.1PLD的设计方法

6.2.2在系统可编程技术

6.2.3边界扫描技术

6.3PLD的编程与配置

6.3.1CPLD的ISP方式编程

6.3.2使用PC的并口配置FPGA

本章小结

思考题和习题

第7章EDA技术的应用

7.1组合逻辑电路设计应用

7.1.1运算电路设计

7.1.2编码器设计

7.1.3译码器设计

7.1.4数据选择器设计

7.1.5数据比较器设计

7.1.6ROM的设计

7.2时序逻辑电路设计应用

7.2.1触发器设计

7.2.2锁存器设计

7.2.3移位寄存器设计

7.2.4计数器设计

7.2.5随机读写存储器RAM的设计

7.3EDA技术综合应用

7.3.14位十进制频率计设计

7.3.2数字相关器的设计

7.3.3HDB3码编码器与解码器的设计

7.3.4步进电机控制电路的设计

本章小结

思考题和习题

附录AGW48EDA系统使用说明

A.1GW48教学实验系统原理与使用介绍

A.1.1GW48使用注意事项

A.1.2GW48主板结构与使用方法

A.2实验电路结构图

A.2.1实验电路信号资源符号图说明

A.2.2各实验电路结构图特点与适用范围

A.2.3GW48结构图信号名与芯片引脚对照表

附录BEDA6000实验开发系统

B.1EDA6000的特点

B.2EDA6000的使用方法

B.2.1EDA6000的硬件结构

B.2.2EDA6000软件平台的使用方法

附录CAlteraDE2开发板使用方法

C.1A1teraDE2开发板的结构

C.2DE2开发板的实验模式与目标芯片的引脚连接

C.3DE2开发板实验的操作

C.3.1编辑

C.3.2编译

C.3.3仿真

C.3.4引脚锁定

C.3.4编程下载

C.3.5硬件验证

C.4DE2开发板的控制嵌板

C.4.1打开控制嵌板

C.4.2设备检测

附录DQuartusII的宏函数和强函数

D.1宏函数

D.2强函数

参考文献

内容摘要:

  EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,它是当今世界上最先进的电子电路设计技术,EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了设计者的劳动强度。本书是在《EDA技术与应用》(电子工业出版社,2004年)基础上编写而成的,根据EDA技术的发展,对原书的部分内容进行了更换、补充、修改或删除。本书从教学的目的出发,尽量将有关EDA技术的内容编入书中,并力求内容精练,语言通俗易懂,读者也可以根据实际需要,节选学习书中的部分内容。  EDA是当今世界上最先进的电子电路设计技术,其重要作用逐步被我国的产业界、科技界和教育界认可。本书共7章,包括EDA技术概述、EDA工具软件的使用方法、VHDL、VerilogHDL、常用EDA工具软件、可编程逻辑器件和EDA技术的应用。另外,附录部分介绍康芯GW48、伟福EDA6000和友晶DE2等EDA实验开发系统的使用方法,供具有不同实验设备的读者学习或参考。

书籍规格:

书籍详细信息
书名EDA技术与应用站内查询相似图书
9787121040153
如需购买下载《EDA技术与应用》pdf扫描版电子书或查询更多相关信息,请直接复制isbn,搜索即可全网搜索该ISBN
出版地北京出版单位电子工业出版社
版次2版印次1
定价(元)25.0语种简体中文
尺寸26装帧平装
页数印数 5000

书籍信息归属:

EDA技术与应用是电子工业出版社于2007.04出版的中图分类号为 TN702 的主题关于 电子电路-电路设计:计算机辅助设计-高等学校-教材 的书籍。